]> pilppa.org Git - linux-2.6-omap-h63xx.git/blobdiff - include/linux/module.h
Merge branch 'topic/hda' into for-linus
[linux-2.6-omap-h63xx.git] / include / linux / module.h
index 3bfed013350b0e6bac488e7a91e77153926e37d8..4f7ea12463d33d3ec99ad98da98ec8b1cba52a80 100644 (file)
@@ -294,9 +294,6 @@ struct module
        /* The size of the executable code in each section.  */
        unsigned int init_text_size, core_text_size;
 
-       /* The handle returned from unwind_add_table. */
-       void *unwind_info;
-
        /* Arch-specific module values */
        struct mod_arch_specific arch;
 
@@ -368,6 +365,18 @@ struct module *module_text_address(unsigned long addr);
 struct module *__module_text_address(unsigned long addr);
 int is_module_address(unsigned long addr);
 
+static inline int within_module_core(unsigned long addr, struct module *mod)
+{
+       return (unsigned long)mod->module_core <= addr &&
+              addr < (unsigned long)mod->module_core + mod->core_size;
+}
+
+static inline int within_module_init(unsigned long addr, struct module *mod)
+{
+       return (unsigned long)mod->module_init <= addr &&
+              addr < (unsigned long)mod->module_init + mod->init_size;
+}
+
 /* Returns 0 and fills in value, defined and namebuf, or -ERANGE if
    symnum out of range. */
 int module_get_kallsym(unsigned int symnum, unsigned long *value, char *type,